CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl testbench

搜索资源列表

  1. 比较器的测试矢量

    0下载:
  2. 一个很好的testbench的例子。
  3. 所属分类:VHDL编程

    • 发布日期:2011-10-28
    • 文件大小:3934
    • 提供者:daxuerushui
  1. sha-1.rar

    0下载:
  2. 本算法基于leon2协处理器接口标准,内含testbench,在modelsim中仿真通过,在ise9.2中综合及后仿真通过。,The algorithm is based on the leon2 co-processor interface standard, including testbench, ModelSim simulation in the adoption, in ise9.2 integrated and adopted after the simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:15430
    • 提供者:ninghuiming
  1. FPGA-PCI.rar

    6下载:
  2. 基于FPGA的PCI接口源代码及Testbench Verilog程序代码,fpag pci
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-04-19
    • 文件大小:468204
    • 提供者:lang
  1. sram

    0下载:
  2. sram操作vhdl源程序,内有sdram模型,控制器设计,及测试源程序-sram operating in vhdl \doc DDR SDRAM reference design documentation \model Contains the vhdl SDRAM model \route Contains the Quartus 2000.05 project files a routed controller design \simulation
  3. 所属分类:MPI

    • 发布日期:2017-03-31
    • 文件大小:896728
    • 提供者:chen
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. rom_des

    0下载:
  2. DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。-VHDL and VERILOG sourcecode and TESTBENCH of DES encrypting algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-12
    • 文件大小:30807
    • 提供者:
  1. mp3decoder

    1下载:
  2. verilog实现mp3解码程序,包括testbench-mp3 decoder verilog implementation procedures, including the testbench
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-26
    • 文件大小:6648082
    • 提供者:zhongduo
  1. Am29lv160d

    0下载:
  2. 在逻辑的系统仿真中使用的FLASH模型(AMD的Am29lv160d),包括VHDL代码文件和verilog代码文件和testbench,并且有相应的pdf说明文档。-In the logic system used in FLASH simulation model (AMD s Am29lv160d), including VHDL and Verilog source code files of documents and testbench, and the corresponding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:216818
    • 提供者:天策
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. VERILOG-jpeg

    8下载:
  2. 用Verilog语言在FPGA上实现JPEG图片的解码,附带testbench-With the Verilog language in the FPGA to achieve JPEG image decoding, with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-25
    • 文件大小:103751
    • 提供者:ken
  1. Flash_ctrl_vhdl_tb

    0下载:
  2. VHDL编写的flash控制器源代码.包含testbench。-Prepared by flash controller VHDL source code. Contains testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5431
    • 提供者:chaowang
  1. xapp199(E)

    0下载:
  2. vhdl的testbench编写的文档,英文版的,可以看懂-VHDL Testbench for the preparation of documents, in English, you can understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:197617
    • 提供者:xwy
  1. 32bit_RISC_CPU

    0下载:
  2. 32 risc cpu的参考设计,内涵完整的testbench-32 risc cpu s reference design, the connotation of complete Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2444310
    • 提供者:zys
  1. custom_cordic

    0下载:
  2. verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:120544
    • 提供者:yangyu
  1. AVR_Core.tar

    0下载:
  2. vhdl语言编写的AVR单片机IP核,里面有testbench和说明文档。-VHDL language AVR Single Chip IP core, there are Testbench and documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:59872
    • 提供者:blur
  1. XiaYuWen_8_RISC_CPU

    2下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶
  3. 所属分类:source in ebook

    • 发布日期:2015-04-10
    • 文件大小:86714
    • 提供者:刘志伟
  1. code

    0下载:
  2. 一个8位微处理器的VHDL代码以及testbench-8-bit processor VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8529
    • 提供者:fei
  1. i2c_core

    0下载:
  2. I2C core 及testbench(verilog)-I2C core and testbench [verilog]
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:20984
    • 提供者:xiaoheng
  1. rs-codec-8-16

    3下载:
  2. RS[255,223]纠错码verilog源码,包含编码和解码模块,以及testbench等。-Verilog source code for RS[255,223] encoder and decoder, with testbench included.
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-13
    • 文件大小:27523
    • 提供者:饶进平
  1. testbench

    0下载:
  2. ddr sdram controller datd module source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2860
    • 提供者:KrishnaKishore
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com